如何利用modelsim波形显示字符

这篇文章将为大家详细讲解有关如何利用modelsim波形显示字符,文章内容质量较高,因此小编分享给大家做个参考,希望大家阅读完这篇文章后对相关知识有一定的了解。

成都创新互联公司2013年至今,先为杜集等服务建站,杜集等地企业,进行企业商务咨询服务。为杜集企业网站制作PC+手机+微官网三网同步一站式服务解决您的所有建站问题。

这个小工程利用modelsim仿真波形图案来显示字符。效果如下,送给正在复习备考的同学,坚持就是胜利:

 如何利用modelsim波形显示字符

用到的工具如下:

---PCtoLCD2002 : 产生显示用的字符点阵

---Quartus:代码编写,仿真文件生成。

---Modelsim:生成要显示的字符图案。

1,字符点阵产生   

PCtoLCD2002端设置如下: 

如何利用modelsim波形显示字符

生成字符点阵: 

如何利用modelsim波形显示字符

保持字模后,生成的字符点阵文件如下: 

如何利用modelsim波形显示字符

 

2,字符点阵数据处理  

将字符点阵文件中的提示信息,备注,标点符号等全部删除,只留下点阵的编码。并将相邻奇偶两行的数据调整到一行。效果如下: 

如何利用modelsim波形显示字符

将处理后的字符文件重命名为  char_code.hex 。 

如何利用modelsim波形显示字符
 3,代码编辑  

(1,)字符点阵数据储存

利用BRAM模块来储存字符点阵数据。利用 readmemh 函数加载字符点阵数据。 

如何利用modelsim波形显示字符     

(2)波形生成

将从BRAM模块中读出的数据与时钟信号按位相与即可。 

如何利用modelsim波形显示字符
4,仿真  

编写仿真文件,运行仿真,展开 out 信号,缩放到合适比例,即可看到字符显示。效果即同文章开头所示。

【注】本工程是利用quartus设置的仿真环境,在仿真设置时,最好将 char_code.hex 也添加进仿真文件列表中,仿真仿真软件找不到该文件。

如何利用modelsim波形显示字符
如何利用modelsim波形显示字符

关于如何利用modelsim波形显示字符就分享到这里了,希望以上内容可以对大家有一定的帮助,可以学到更多知识。如果觉得文章不错,可以把它分享出去让更多的人看到。


文章名称:如何利用modelsim波形显示字符
地址分享:http://scjbc.cn/article/pidjpi.html

其他资讯